Erste Schritte beim Entwerfen von CMOS-ASICs - Welche Software muss man haben?

Welche Software sollte ich verwenden, um eine Gate-Pipeline zu entwerfen? Das Design wird im 350-nm-Prozess von TSMC implementiert. Eine Liste mit unverzichtbarer Software zum Entwerfen einer grundlegenden Gate-Schaltung und ASIC-Lösungen wäre schön.

Was ist dein Budget? Standardzelle oder vollständig benutzerdefinierte? Dies geschieht in der Regel mit sehr teuren proprietären Tools. Einige der großen Namen sind Synopsys , Cadence , Mentor und Magma .
welches Land wäre das? Ich interessiere mich für den Umzug ihrer...
Was lässt Sie glauben, dass Sie in Kanada Software für Bildungszwecke torrent können? Das kanadische Urheberrechtsgesetz, Abschnitt 29.4 Teil 3 lautet: „Die Befreiung von der Urheberrechtsverletzung … gilt nicht, wenn das Werk … im Handel erhältlich ist …“, und ich glaube nicht einmal, dass die Ausnahmen für die Nutzung gelten von Software: Es geht um ihre Vervielfältigung auf Folien oder Whiteboards oder ihre öffentliche Aufführung. Sie sollten mit einem Anwalt sprechen, bevor Sie eine größere akademische Arbeit auf Raubkopien stützen.

Antworten (4)

Große Namen in der EDA -Software sind Synopsys , Cadence , Mentor und Magma .

Hier ist ein Teil der Software, die typischerweise an einem Standardzellen-ASIC-Fluss beteiligt ist:

HDL-Simulatoren lesen eine RTL-Beschreibung des Designs (normalerweise in Verilog oder VHDL geschrieben) und ahmen das Verhalten der von der RTL beschriebenen Hardware nach. Wikipedia hat eine Liste von Verilog-Simulatoren ; die Liste vermerkt, welche auch VHDL unterstützen.

Synthesewerkzeuge lesen die RTL-Beschreibung und ordnen sie den in Ihrer Zielbibliothek verfügbaren Zellen zu. Die Zellbibliothek wird normalerweise im .dbFormat beschrieben und kann entweder von Ihrer Gießerei oder von einem Bibliotheks-Drittanbieter bereitgestellt werden. Die Ausgabe des Synthesewerkzeugs kann auch Verilog sein, aber es enthält keine High-Level-Konstrukte, sondern nur Zelleninstanziierungen und Verbindungen. Dies wird als Netzliste bezeichnet. Wikipedia hat eine Liste von Werkzeugen .

Place and Route (P&R)-Tools nehmen die Netzliste und suchen nach einer physischen Implementierung dieser Netzliste. Dazu müssen alle Zellen in zwei Dimensionen platziert und herausgefunden werden, wie die Verbindungen zwischen den Zellen verlegt werden. Beispiele sind IC Compiler, Encounter und Blast Fusion.

Wenn ein Design P&R durchläuft, werden zusätzliche Qualitätsprüfungen durchgeführt, einschließlich statischer Timing-Analyse (unter Verwendung von zB PrimeTime), Layout vs. Schaltplan und DRC .

Können Sie etwas zu Systemen wie Hardcopy von Altera sagen, die FPGA-Tools verwenden?
Hardcopy verdrahtet im Wesentlichen die FPGA-Zellen während der Herstellung, anstatt sie konfigurierbar zu machen. Das macht sie „hart“ wie ASIC, aber ohne die massiven NRE-Kosten von ASIC. Es ist eine Alternative zu ASIC, wenn Sie nicht über das Volumen verfügen, um die Kosten von ASIC zu rechtfertigen.

Viele Leute verwenden teure proprietäre Tools für das VLSI-Design. Andere finden kostenlose Software oder Freeware angemessen und lehrreicher:

  • Magic : ein interaktiver Editor für VLSI-Layouts mit Online-DRC, veröffentlicht unter der Open-Source-Lizenz von Berkeley. Magie-Tutorial . Einige raffinierte Patches für Magic .

  • Alliance : A Complete CAD System for VLSI Design, wird unter der GNU General Public License (GPL) veröffentlicht. Viele Tools, Zellbibliotheken usw.

  • GNU Electric (Danke, Sybreon), veröffentlicht unter der GPL

  • LASI (Layout System for Individuals), Closed-Source-Freeware

Wenn es sich um ein akademisches Projekt handelt und Sie nicht gezwungen sind, die Prozesse von TSMC zu verwenden, sollten Sie die Verwendung eines Tools wie GNU Electric in Betracht ziehen . Es ist in der Lage, einige grundlegende benutzerdefinierte ASIC-Designs zu verarbeiten. Abhängig von der Komplexität Ihres Designs kann es für Ihre Anforderungen "gut genug" sein.

In meinem Unternehmen wird das Standardverfahren sein:

  • Spezifikation (Microsoft Visio)
  • Codierungs-Texteditor (Emacs/Vim)
  • Simulator (VCS-Zusammenfassung)
  • Synthetisieren (DC_Compiler synopsys)
  • Timing-Optimierung (Prime Time Synopsys)
  • Routing (IC_Compiler Synopsys)
  • Überprüfung des Post-Layouts (Calibre)

Sie können auch Virtuoso (Cadence) benötigen, wenn Sie Mixed-Signal oder ADC, DAC machen möchten.

Hoffe das hilft!