Hilfe beim SPARTAN-3AN FPGA-Frequenzverdoppler benötigt

Hier habe ich die gerouteten Netze für dieses untenstehende Verilog-HDL-Programm mit DCM-Instanziierungsmodul angehängt. Während ich das XC3S50AN-FPGA-Board mit der ISE12.3-Designsuite implementiere, liefern clk2x und gesperrte Ausgänge keine Ausgabe im SPARTAN-FPGA-Entwicklungsboard ( https://www.pantechsolutions.net/project-kits/cpld-fpga-boards/ spartan-3an ) gibt es eine Ausgabe-LEDs, die für clk0, gesperrt, clk2x-Pins (p7, p8, p10 im Datenblatt) zugewiesen sind, Pins und (p57 (clk), p18 (rst)) sind Eingangspins habe nur am clk0 (p7) eine Ausgabe erhalten, die 2,5 MHz beträgt, genau wie clk50 (intenaly generierter Takt aus 50 MHz Eingangstakt)

Geben Sie hier die Bildbeschreibung ein

module dcm_pllverilog(clk,rst,clk0,clk2x,locked);

input clk;
input rst;
output clk0;  
output clk2x;
output locked;

reg clk50=0;
wire clk0;
wire clk2x;
wire locked;

reg [7:0] count=0;

clkdcm_test instance_name (
    .CLKIN_IN(clk50), 
    .RST_IN(rst), 
    .CLK0_OUT(clk0), 
    .CLK2X_OUT(clk2x), 
    .LOCKED_OUT(locked)
    );

always @(posedge clk) //---2.5 Mhz signal from 50 MHZ crystal oscillator 
begin 
     count<=count+1;

  if(count<=9) 
     clk50<=1;
  if(count>=10)
     clk50<=0;
  if(count>=19)
     count<=0;
end
endmodule



//----------

# PlanAhead Generated physical constraints 

NET "clk" LOC = P57;
NET "clk0" LOC = P7;
TIMESPEC"Ts_clk" = PERIOD "clk" 20ns high 50%;
NET "clk2x" LOC = P8;
NET "locked" LOC = P10;
NET "rst" LOC = P18;

Geben Sie hier die Bildbeschreibung einGeben Sie hier die Bildbeschreibung ein

Geben Sie hier die Bildbeschreibung ein

Geben Sie hier die Bildbeschreibung ein

Geben Sie hier die Bildbeschreibung ein

Antworten (2)

Grundsätzlich bedeutet es, dass es einen schnellen Pfad (eine direkte Verbindung) von bestimmten Pins in das DCM gibt, aber aus irgendeinem Grund kann dieser schnelle Pfad nicht verwendet werden. Dies kann mehrere Gründe haben. Wenn ich das Datenblatt richtig lese, ist P53 kein taktfähiger Pin, daher gibt es keinen schnellen Pfad. Sie können auch Probleme bekommen, wenn Sie das DCM auf die falsche Site in der UCF verschoben haben oder wenn Sie viele DCMs verwenden, sodass die ideale Site nicht verfügbar ist. Wenn Sie sich Ihr Projekt ansehen, sieht es jedoch so aus, als wäre dies das Einzige, was im Design enthalten ist?

Woher haben Sie die PAD53-Einschränkung für den Eingangstakt?

Danke für Ihre Antwort, Herr hm2014.......... Eigentlich habe ich es mit PAD92 versucht, dass auch derselbe Fehler aufgetreten ist. Dann habe ich es mit Pad 22 versucht, da es überhaupt keinen Fehler in der gesamten Implementierung, Konfiguration gibt, Mapping-Prozess, aber es gibt keine Ausgabe im Geräte-Hardware-Modul. Dies ist das Gerät, das ich tatsächlich für das Design verwende. Der Weblink befindet sich unter pantechsolutions.net/project-kits/cpld-fpga-boards/spartan-3an (das Gerätedatenblatt, das sie PAD92 als Takteingangspin gegeben haben, wie . Ich habe alle zugewiesen DCM-Ausgang zu LED-Pins in diesem Gerät wird auch für Schalter in diesem Gerät zurückgesetzt, aber keine Antwort.

Wenn Sie sich Ihr geroutetes Design ansehen, werden Sie sehen, dass die DCMs im SPartan 3A-Gerät auf der 4-Seite des IC platziert sind. Es gibt „dedizierte“ IOs oder IOs mit einfachem Routing zum GCLKMux, die in der Nähe dieser DCMs verfügbar sind.

In diesem Bild sehen Sie die 2 DCM und die optimierten IOs für diese DCM auf einem SPARTAN 3A-Gerät:

Geben Sie hier die Bildbeschreibung ein

Ich habe keinen Zugriff auf den Schaltplan und Informationen über das von Ihnen verwendete Board, aber ich bin sicher, dass Sie das richtige IoB für Ihre Uhr finden können, wenn Sie sich den Schaltplan des Boards ansehen.

Aber während ich diese interne Uhr für die DCM-Clkin-Eingabe verwende, erhalte ich clk0 als perfekte Ausgangsfrequenz, die der internen Uhr entspricht, jedoch nicht in den verbleibenden O / P-Pins. ...........
Wenn Sie mit FPGAs neu sind und die Tricks zur Verwendung dieser internen Ressourcen nicht kennen, sollten Sie auf jeden Fall den IP-Core-Generator verwenden, um ein einfaches DCM für Sie zu erstellen. Auf diese Weise sind Sie zu 100 % sicher, dass der Code für Ihr FPGA funktioniert .