Sehr schneller Zähler (ca. 1,5 GHz bis 2 GHz)

Ich denke an ein Gerät, das die Entfernung eines Objekts von einem Sensor mithilfe eines Funksender- und -empfängerpaars messen kann.

Ich denke an die Verwendung eines Zählers auf der Sensorseite mit einem Sender und Empfänger mit fester Frequenz am Sensor.

Es wird auch einen Sender und einen Empfänger auf dem Objekt geben, dessen Abstand in Bezug auf den Sensor ich messen möchte.

Wenn der Sender auf dem Sensor einen Impuls auf der zuvor vereinbarten Funkfrequenz sendet, beginnt der Zähler auf dem Sensor zu zählen.

Wenn dieser Impuls vom Empfänger auf dem Objekt erkannt wird, sendet der Sender auf dem Objekt einen Impuls, der vom Empfänger auf dem Sensor empfangen wird, in welchem ​​​​Moment der Zähler anhält und die Entfernung entsprechend der Zeitverzögerung gemessen wird.

Das Problem ist, dass ich im Bereich von 1,5 GHz bis 2 GHz keinen Zähler und keine miteinander kompatible Taktquelle finden kann. Irgendwelche Vorschläge, was ich verwenden kann?

Der errechnete Messfehler beträgt 33 cm/s bei 1,4 GHz und 13 cm/s bei 2,2 GHz. Grundsätzlich gilt: Je schneller der Zähler ist, desto weniger Fehler.

Kann mir jemand sagen, welchen Zähler ich zusammen mit der Taktquelle für den Zähler verwenden soll?

Ich habe mir den Zähler-IC MC100EP016A angesehen, kann aber keine Taktquelle für diesen IC finden.

Ich benötige ein Taktsignal mit folgenden Eigenschaften:

  • Eingangshochspannung = 2075 bis 2420 mV
  • Niedrige Eingangsspannung = 1355 bis 1675 mV
Sie möchten, dass ein Zähler mit 2 GHz arbeitet, aber Sie denken darüber nach, einen zu verwenden, der mit 1,4 GHz arbeitet, und dann möchten Sie einen Takt-IC?
Sie möchten die Zeitverzögerung zwischen zwei Signalen messen. Haben sie die gleiche Frequenz? Ich bin mir nicht sicher, wie ein Zähler Ihnen hilft. Als @Whatroughtbeast antwortet, möchten Sie vielleicht die Phasendifferenz messen. Vielleicht ein Mixer?
@Andyaka Scheint, er / sie möchte ein Gerät wie ein Radar herstellen. Außerdem gibt es im Internet viele Ansätze, mit denen Sie die Verzögerung zwischen den beiden Funkwellen messen können (sogar mit einem Oszilloskop).
@Roh wolltest du deinen Kommentar eigentlich an mich richten?
@Andyaka du und user50162.
@Roh Ich habe auf die Anomalien in seiner Frage hingewiesen und keinen Rat gesucht, da dies in seiner Frage angemessen angegeben zu sein scheint.
@ Andyaka Ja, du hast Recht. Es gibt einige Inkohärenzen.
Sie versuchen, die Entfernung zu messen, geben aber einen Fehler in cm/s oder Geschwindigkeit an. Wie groß sind die Entfernungen und welchen Fehler versuchen Sie zu erreichen? Die Schwierigkeit, Ihre Anforderungen zu erfüllen, hängt stark von diesen Parametern ab.
Ich meinte cms und nicht cm/s
Ich habe das Gefühl, dass der Eingang dieses Geräts selbst vorgespannt ist. Wenn Sie also einen Takt mit der richtigen pp-Amplitude bereitstellen, können Sie ihn mit einem Kondensator an das Gerät koppeln.
Es ist sehr schlechter Stil, Ihre Frage erneut zu stellen. Wenn Sie Änderungen an Ihrer ursprünglichen Frage haben, bearbeiten Sie bitte das Original.

Antworten (6)

Andere Antworten haben sich darauf konzentriert, warum Sie dies möglicherweise falsch angehen. Obwohl ich diesen Antworten zustimme, existiert das, wonach Sie fragen, wirklich, also werde ich fortfahren und Ihnen eine klare Antwort geben. Sie werden jedoch wahrscheinlich feststellen, dass dieser Ansatz teurer ist als Alternativen.

Was Sie wollen, ist ein spannungsgesteuerter 2-GHz-Oszillator (VCO) mit 3,3-V-LVPECL-Ausgängen. Es gibt viele Anbieter, die solche Teile herstellen.

Wenn Sie keinen mit LVPECL-Ausgang finden, ist es relativ einfach, die Pegel durch Wechselstromkopplung und Neuvorspannung auf etwas einzustellen, das mit LVPECL kompatibel ist, da dies ein Taktsignal ist. Jeder HF-Pegel zwischen -3 und +2 dBm sollte mit einem LVPECL-Eingang verwendbar sein.

LVPECL-Teile wie Ihr 100EP016A können auch Single-Ended-Eingänge akzeptieren, wenn Sie den komplementären Eingang auf den Mittelpunkt zwischen den normalen Logikpegeln vorspannen (oft gibt es sogar einen Pin namens VBB, der diesen Pegel für Ihre Bequemlichkeit ausgibt, aber ich habe nicht überprüft, ob die ' EP016A hat es).

Sie müssen dann einen Phasenregelkreis aufbauen, um die VCO-Ausgangsfrequenz genau aufrechtzuerhalten, indem Sie sie mit einem driftarmen Referenzoszillator vergleichen, der irgendwo zwischen 10 und 100 MHz liegen kann.

Ein Teil, das sowohl den VCO als auch die PLL in einem Chip bereitstellt, ist der ADF4360-2 von Analog Devices

Noch ein paar Anmerkungen:

Mir ist aufgefallen, dass die maximal garantierte Schaltfrequenz des MC100EP016A nur 1,2 GHz beträgt. Wenn Sie dies also wirklich bei 2 GHz tun möchten, sollten Sie sich vielleicht nach einem anderen Teil umsehen. Vielleicht MC100E137, aber dann benötigen Sie eine 5-V-Versorgung und Sie müssen sich auch mit dem ungleichen Timing der verschiedenen Ausgänge für einen Ripple-Zähler auseinandersetzen.

Schließlich müssen Sie sich damit befassen, alle Bits der Zählung genau zum gleichen Zeitpunkt einzuspeichern, damit Sie nicht einige Bits vor einem Übergang und einige Bits danach erfassen. Eine Lösung dafür ist die Verwendung eines Gray-codierten Zählers anstelle eines Binärzählers – dann ändert sich nur ein Bit für jeden Übergang, und der maximale Fehler durch die Latch-Verzögerungsvariation ist nur ein einzelner Zählwert.

Kann ich mit diesem Binärzähler einen Gray-codierten Zähler implementieren ??? wenn ja wie dann?? wenn nein was kann ich tun???

Eine mögliche Alternative ist die Verwendung eines der neueren FPGAs mit Hochgeschwindigkeits-Transceivern (5 bis 10 Gb/s). Diese sind für schnelles Ethernet, SATA und andere serielle Hochgeschwindigkeitsschnittstellen vorgesehen. Sie sind relativ billig, weit verbreitet, schneller als das oben erwähnte ECL-Gerät und deserialisieren intern (darstellen eines seriellen Bitstroms als paralleles Wort).

Ich verstehe, dass es Möglichkeiten gibt, sie für andere Zwecke zu verwenden, z. B. für präzise Hochgeschwindigkeits-Timing-Messungen. Ich kann nicht zu den Details raten, aber es könnte sich lohnen, Hintergrundinformationen zu lesen.

Alternativ macht es dieses Papier anders, indem es mehrere Phasen eines Takts verwendet, der mit nur 550 MHz läuft. Es spricht von Zeitauflösungen von etwa 80ps in einem mittlerweile relativ betagten Virtex-5-FPGA.

Ich denke, in Mehrkanalsystemen wird die Verwendung integrierter Serdes-Spuren im Vergleich zu einem dedizierten Ripple-Zähler pro Kanal teurer. Die Anzahl der Transceiver ist bei den FPGAs unter 1000 US-Dollar ziemlich begrenzt. Gedanken?

Als Ausgangspunkt sollten Sie vielleicht einen Blick auf "Time-of-Flight"-Entfernungsmesserschaltungen mit LASER werfen. In Anbetracht von HF- und Lichtreisen mit ~gleichen Geschwindigkeiten würde ich vermuten, dass die Zeitzählungsabschnitte eine gute Vergleichsübereinstimmung wären.

Eine schnelle Google-Suche nach "Laser Range Finder Circuits" zeigt als erstes Ergebnis einen Schaltplan auf Parallax.com. Das Ersetzen der Lasertreiber- und Detektorabschnitte durch Ihre HF-Treiber- und Detektorschaltung könnte sich als wertvolle Ressource erweisen. Dieses Schema wird unter einer „Creative Commons Attribution 3.0 US-Lizenz“ vertrieben.

Willkommen im Forum.

Zunächst einmal hoffe ich, dass Sie wissen, was Sie tun. Mit GHz-Geschwindigkeiten herumzuspielen ist nichts für schwache Nerven oder diejenigen mit flachen Taschen.

Der naheliegendste Weg, um einen Takt zu erhalten, besteht darin, mit einem 1,4-GHz-Sinusoszillator zu beginnen und die Schaltung hier zu verwenden, um ihn in ECLinPS umzuwandeln.

Sobald dies erledigt ist, müssen Sie sich andere Chips in der Familie ansehen, um Ihre Gating-Schaltung zu erstellen.

Wenn Sie versuchen, die Phasendifferenz zwischen CW-HF-Signalen zu messen, sind Sie besser beraten, sich mit analogen Phasendetektoren gefolgt von einem ADC zu befassen.

Was meinst du mit Gating-Schaltung ???
Sie haben also einen Ausgangsimpuls und einen Rückimpuls und eine Hochgeschwindigkeitsuhr, die während des Intervalls gezählt wird. Woher weiß die Uhr, wann sie zu zählen beginnt und wann sie aufhört? Es gibt grundsätzlich zwei Möglichkeiten, dies zu tun, entweder durch Aktivieren/Deaktivieren des Zählers, während die Uhr läuft, oder durch Gattern der Uhr, so dass sie nur während des Sende-/Empfangsintervalls aktiv ist. In beiden Fällen müssen Sie eine Logik ausführen, um ein Gate-Signal bereitzustellen, und zwar mit derselben Hochgeschwindigkeitslogik wie der Zähler.
Oder ich könnte einen Latch verwenden und den Zähler weiterzählen ???
Jawohl. Dies bedeutet natürlich, dass Sie den Zähler irgendwie zurücksetzen müssen, bevor Sie den ausgehenden Impuls senden. Entweder das, oder Sie verwenden den ausgehenden Impuls, um die Startzählung zu speichern, und den Rückimpuls, um die Stoppzählung zu speichern, und subtrahieren die beiden (achten Sie auf Rollover). In jedem Fall müssen Sie sich auch mit Metastabilität befassen.
Können Sie in diesem Zusammenhang einige Lektüren zur Metastabilität vorschlagen?

Ich denke, es besteht die Möglichkeit einer echten Latenz der Signalisierung durch den Sender und durch die Detektorschaltung des Empfängers. Diese Latenzen müssten berücksichtigt werden und begrenzen die kürzesten messbaren Entfernungen, sofern sie nicht herauskalibriert werden.

Auch wenn die Latenzen temperatur-, spannungs- und HF-Signalstärke-abhängig sind, wird eine geeignete Kalibrierung schwieriger.

ich konnte die verzögerungen von sender und empfänger messen und dann mein gerät entsprechend kalibrieren.

Hmm? Wenn Sie hoffen, die Go-Return-Verzögerung mit einer Genauigkeit von s Nanosekunden zu messen, benötigen Sie eine Bandbreite von 1 bis 2 GHz. Was müsste also die Funkträgerfrequenz sein? Ich würde mindestens 20 GHz schätzen, was 5 bis 10% Bandbreite ergibt, die Ihre Antennen benötigen. Ich würde das nicht versuchen, es sei denn, Sie haben ein Labor voller Geräte. Sie müssen sich auch an die FCC-Regeln halten.

Vorschlag: Sehen Sie, ob Sie ein Kfz-Fahrerassistenzradar als Ersatzteil kaufen können