Wie erhalte ich eine Standard-UCF-Datei von Xilinx Virtex-5 XC5VLX110?

Wie erhalte ich eine Standard-UCF-Datei des Xilinx Virtex-5 XC5VLX110?

Es scheint nirgendwo zu sein. Wenn ich es selbst machen muss, würden Sie mir sagen, wie ich eine UCF-Datei für einen Xilinx Virtex-5 XC5VLX110 generieren kann?

Ist das für ein XUPV5-Board? Übrigens wäre das Suchmuster für Google "Master UCF-Datei" anstelle von Standard-UCF. Die meisten Hersteller bieten ein solches „Master-UCF“ an, das alle Pins eines Entwicklungsboards enthält.

Antworten (2)

Es gibt keine "Standard-UCF-Datei" für ein Xilinx-Teil. Die Namen und Funktionen der Pins hängen vollständig von Ihrem Board-Design ab.

Verwenden Sie den Xilinx ISE Constraints Editor oder PlanAhead, um eine UCF-Datei zu erstellen.

Oder besser, verwenden Sie die Xilinx-Tools, um loszulegen, und erledigen Sie den Rest in einem einfachen Texteditor.
Können der Xilinx ISE Constraints Editor oder PlanAhead UCF-Dateien anbieten? oder nur bearbeiten?

Der Virtex-5 XC5V110Twird beispielsweise auf dem Xilinx XUPV5-Board montiert. Dieses Board entspricht dem ML505-Board: gleiche Pinbelegung, gleiche externe Geräte, aber ein "größeres" FPGA.

Alle Xilinx-Referenzen zum XUP5-Board sind hier aufgelistet . Die undokumentierte und unvollständige Master-UCF-Pin-Einschränkungsdatei finden Sie auf derselben Website.


Unsere PoC-Bibliothek wird mit einer Reihe von UCF-, SDC- und XDC-Dateien für viele gängige Entwicklungsboards geliefert, einschließlich Boards des Xilinx University Program (XUP) wie Atlys, ML505 oder ZedBoard. ucf/Eine vollständige Liste der unterstützten Boards finden Sie im Ordner.

Wir teilen die Master-UCF-Datei jedes Boards in kleine Portionen auf. Da gibt es zum Beispiel:

  • Clock.SystemClock.ucf, die alle Einschränkungen für den 200-MHz-Systemtakt enthält (2 Pins, E/A-Standard, Zeitnetz und Zeitspezifikation für 200 MHz), oder die
  • GPIO.Button.Cursor.ucf, die alle 5 Cursortasten enthält.

Außerdem enthält der Ordner einige UCF-Dateien, die für Cross-Clock-FIFOs, Synchronisierer usw. benötigt werden. Solche Einschränkungen werden von UCF-Masterdateien nicht abgedeckt.

Wie können diese Dateien verwendet werden?

  1. Sie können alle Ihre benötigten E/A-Schnittstellen in eine eigene UCF-Datei kopieren, wodurch Ihre eigene projektspezifische Master-UCF erstellt wird. Oder
  2. Sie können mehrere UCF-Dateien in Ihr Xilinx ISE-Projekt importieren (ISE übergibt sie alle an den Übersetzungsschritt). Wenn Sie entscheiden, dass beispielsweise LEDs nicht mehr benötigt werden, deaktivieren Sie die UCF-Datei oder entfernen Sie sie aus dem Projekt.

Vorteile:

  • Die Namenskonvention ist hoffentlich in allen unseren UCF-Dateien konsistent. So können Sie dasselbe Design auf mehreren Boards implementieren, indem Sie einfach die UCF-Dateien oder Ihre oberste HDL-Designdatei ändern.
    Beispiel: KC705 => VC707 => ZC706
  • Es ist möglich, mit minimalen Änderungen auf neuere FPGA-Boards umzusteigen.
    Beispiel: ML505 => KC705
  • Sie können auf alternative FPGA-Plattformen umsteigen.
    Beispiel: KC705 (Kintex-7) => DE4 (Stratix IV)
Danke ja, das wusste ich auch. Die meisten Anbieter bieten so ein "Master-UCF" an, das alle Pins eines Entwicklungsboards enthält. genau das will ich. Master-UCF-Datei. Wie bekomme ich eine Master-UCF-Datei von mir?
Sie erstellen eine basierend auf Ihrem Board. Sie können eine mit Ihrer PCB-Datei erstellen, einige Programme wie Altium ermöglichen es Ihnen, eine UCF-Datei aus Ihrem Schaltplan zu generieren.
@Carter ... aber du hast dein Board noch nicht benannt ...