Kostenlose IDE für VHDL und Verilog [geschlossen]

Ich interessiere mich für das Erlernen von VHDL und Verilog. Ich habe mich gefragt, ob es dafür eine kostenlose IDE gibt?

Schauen Sie sich diese Frage an: electronic.stackexchange.com/questions/22596/…
Frei wie in der Rede oder frei wie im Bier?

Antworten (10)

Zunächst einmal: Willkommen in der Welt des Logikdesigns.

Zweitens müssen Sie den "Designflow" (wichtiges Schlagwort!) verstehen. Designflow in VHDL/Verilog ist:

  1. Denken Sie über ein Design nach, das Sie implementieren möchten, z. B. einen Addierer
  2. Implementieren Sie das Design in VHDL/Verilog
  3. Implementierung einer Testbench in VHDL/Verilog
  4. Verwenden Sie die Testbench, um Ihr Design zu simulieren (ab Schritt 2)
  5. Wenn dies funktioniert und die Simulation erfolgreich ist, versuchen Sie, das Design zu synthetisieren
  6. mache all die anderen Sachen, wie Karte, Ort und Route
  7. Erstellen Sie eine .bit-Datei
  8. Verwenden Sie Ihr JTAG, um Ihr FPGA zu programmieren
  9. PROFITIEREN! (hoffnungsvoll)

Wie Sie sehen können, sind dies also VIELE Schritte. für einige von ihnen sind Open-Source-Tools verfügbar. Nur kostenlos wäre ziemlich langweilig, also werde ich versuchen, Ihnen zu zeigen, was der Markt zu bieten hat.

  • Für Schritt 1) ​​ist das Wichtigste Libre/openOffice Calc, Papier und ein Bleistift und wenn Sie große FSMs haben, vielleicht Qfsm
  • Schritt 2) Sie brauchen einen guten Editor, schnappen Sie sich einen, den Sie mögen, und alles ist gut. Es gibt einige, die auf VHDL spezialisiert sind, das Beste ist Sigasi (Sie müssen dieses hier googeln, Spam-Schutz). Für diese Aufgabe können Sie bereits eine der großen Hersteller-IDEs verwenden, aber glauben Sie mir, das ist NICHT das, was Sie wollen
  • Schritt 3) -> Schritt 2
  • Schritt 4) Hier sind ein paar Möglichkeiten: Xilinx ISim, (Altera) Modelsim, (Lattice) Aldec, ghdl in Kombination mit GTKWave. Ich denke, es gibt noch mehr Simulatoren, aber das sollte für den Anfang reichen. Alle diese Tools sind nur Simulatoren, obwohl sie eine vollständige IDE (mit Ausnahme von ghdl) mitbringen.
  • Schritt 5) Tun Sie sich selbst einen Gefallen und verwenden Sie das vom Hersteller Ihres FPGAs bereitgestellte Tool. Wenn Sie erfahren genug sind, können Sie auch Icarus Verilog ausprobieren .
  • Schritt 6 und 7) keine andere Möglichkeit als die Vendortools zu verwenden
  • Schritt 8) so viele Tools tun dies, sogar die von den FPGA-Anbietern bereitgestellten Tools. Ich benutze gerne Commandlinestuff, also verwende ich weiterhin einige obskure Flash-Programme, aber Vendortools sind auch in Ordnung.

hoffe ich konnte helfen

Was genau ist falsch daran, die Editoren zu verwenden, die in den Anbieter-Tools enthalten sind? Sie wirken einfach, aber funktional.
Ja, sie sind sehr einfach, aber zumindest die Linux-Version von Xilinx und Altera haben nicht einmal eine einfache Blockbearbeitung. Dies ist sehr frustrierend, wenn Sie Blöcke auskommentieren möchten, da vhdl keine Blockbefehle hat
Ich bin auf der Windows-Version und es gibt eine Option "Kommentarblock" im Kontextmenü. Für andere Blockbearbeitungsvorgänge sind Sie auf sich allein gestellt :P

Jede Programmier-IDE oder jeder Texteditor kann tatsächlich mit Hardwarebeschreibungssprachen verwendet werden, und jeder anständige sollte in der Lage sein, eine Toolchain für die Kompilierung (die auf Simulation oder Hardware abzielt) zu starten. Daher lautet die eigentliche Frage, um etwas zu erreichen, "welche kostenlosen HDL-Compiler verfügbar sind" - mit Antworten wie Icarus Verliog, GHDL usw. Koppeln Sie diese mit Emacs oder was auch immer, und Sie können loslegen.

Wenn jedoch viele Leute nach einer "IDE" fragen, meinen sie etwas Glattes, sofort einsatzbereites, oft mit einigen kontextbezogenen Hinweisen/Hilfestellungen. Die allgemeine Antwort darauf ist die eingeschränkte "Webversion" der hauseigenen Toolsets, die von großen FPGA-Unternehmen wie Xilinx (ISE) oder Altera (Quartus) angeboten werden. Sie müssen keine Hardware des jeweiligen Unternehmens haben, um Designs zu kompilieren oder mit einer (normalerweise größen- oder zeitlich begrenzten) Lizenz für einen enthaltenen Simulator zu spielen. Allerdings können die 50-150 US-Dollar für ein einfaches FPGA-Board die Erfahrung viel "realer" machen und Sie einigen manchmal überraschenden Unterschieden zwischen dem, was in einem Simulator und in einer tatsächlichen Schaltung passiert, aussetzen (im Allgemeinen resultierend aus Dingen, die Sie haben versäumt, vollständig zu spezifizieren,

Beste Option: Sigasi . Entweder eigenständig oder Plug-in für Eclipse (sie sehen sehr ähnlich aus). Die kostenlose Version hat keine Code-Refactoring-Funktionen und ähnliches, sondern reduziert sich auf eine "VHDL-IDE" - genau das, was Sie wollen.

Ich verwende derzeit die kostenlose IDE von Xilinx. Sie können es hier herunterladen (vorausgesetzt, Sie leben nicht in Nordkorea usw.): http://www.xilinx.com/support/download/index.htm

Derzeit heißt es „ISE Design Suite“, aber im Laufe der Jahre hat Xilinx es umbenannt. Obwohl es kostenlos ist, kann es nicht auf den wirklich großen oder sehr modernen Xilinx-FPGAs verwendet werden. Ich verwende es gerade auf einem Spartan6 LX45-Design, dem Digilent Atlys-Board (das derzeit 200 US-Dollar für akademische Mitarbeiter und 349 US-Dollar für Außenstehende kostet): http://www.digilentinc.com/Products/Catalog.cfm?NavPath =2.400&Cat=10&FPGA

Der andere große FPGA-Anbieter ist Altera. Sie haben auch Testboards und eine kostenlose IDE namens "Quartus": http://www.altera.com/products/software/sfw-index.jsp

Bei regulären IDEs bleiben Sie bei dem, was sie Ihnen bieten, hängen. Aber mit Emacs 24 können Sie es an jeden bizarren Wunsch anpassen, den Sie haben! Ich verwende es mit den Modi prelude und highlight-indentation . Schau, wie purty!

Geben Sie hier die Bildbeschreibung ein

Emacs ist nicht gerade eine IDE, aber warum nicht eine machen?

  • Versionskontrolle
  • Hotkeys zum Ausführen Ihres externen Compilers, Lint-Tools, Simulators, Make-Files usw.
  • Kann Codefaltung hinzufügen
  • Hotkeys zum Einfügen gängiger Codeblöcke
  • Automatisches Kommentieren
  • Wahrscheinlich hast du es schon!

Hier sind ein paar kostenlose IDEs:

SystemVerilog, Verilog, VHDL und andere HDLs

  • EDA Playground ist eine webbrowserbasierte IDE, die einen Editor mit Syntaxhervorhebung und eine Auswahl an Simulatoren bietet. Da es über einen Webbrowser ausgeführt wird, muss nichts installiert werden. Es ist gut für kleine Prototypen, aber nicht für große Projekte.

SystemVerilog und Verilog

  • SVEditor ist ein Eclipse-Plugin. Sie müssen Ihren eigenen Simulator bereitstellen. Außerdem indiziert es jeweils 1 Datei, was restriktiver ist, als viele kommerzielle Simulatoren zulassen. Empfehlen Sie eine einzelne Top-Level-Datei für die Indizierung.

Da diese hier noch nicht genannt wurden:

Es gibt nicht wirklich eine vollständige IDE für RTL-Design.

Am besten beginnen Sie mit emacs oder vi mit einem vhdl- oder verilog-Syntax-Plugin und ordnen ein paar Funktionstasten neu zu, um einige grundlegende Versionskontrollaufgaben zu kompilieren, auszuführen und durchzuführen. Die Bare-Bones-Codevervollständigung ist in die Editoren integriert, aber sie sind nicht wirklich VHDL/Verilog-bewusst.

Für Verilog gibt es einen neuen Editor, der unter http://www.verilogeditor.com verfügbar ist . Er basiert auf Eclipse und befindet sich derzeit in der Beta-Phase.

Ich habe kürzlich einen recht guten VHDL/Verilog-Editor ( http://www.vide-software.at ) gefunden, der ein Plugin für Microsoft Visual Studio ist. Wenn Sie Student sind, ist es kostenlos. Ansonsten kostet eine Lizenz knapp 30EUR.

Wenn Sie Visual Studio kennen und mögen, wird Ihnen dieses Plugin gefallen! Es ist auch ziemlich ausgefeilt, da es das Umbenennen, das Finden von Referenzen, die Goto-Definition, die Codevervollständigung usw. unterstützt. Den meisten (kostenlosen) Editoren, die ich zuvor ausprobiert habe, fehlten diese Funktionen.