Synthesetool Free-Source [geschlossen]

Ich lerne gerade VHDL und um den Code, den ich schreibe, zu simulieren, habe ich GHDL (Open Source) herausgefunden, das ich noch nicht ausprobiert habe, aber meiner Meinung nach das tut, was es tun muss.

Gibt es ein kostenloses Synthesetool, um den VDHL-Code in ein beliebiges FPGA zu synthetisieren, oder sind sie alle herstellerspezifisch (und daher kostenpflichtig)? Es wäre großartig, ein allgemeines zu finden, das es erlaubt, in jedes FPGA zu programmieren, da ich immer noch nicht weiß, auf welchem ​​​​FPGA ich arbeiten muss, und da jedes Tool eine steile Lernkurve hat - ich spreche aus persönlicher Erfahrung mit Xilinx's Vivado .

Sie sind alle herstellerspezifisch, und es gibt nur sehr wenige, die OSS sind – aber Sie brauchen kein Synthesetool, um ein Design in VHDL zu entwickeln, sondern nur einen Simulator.
Ja, aber soweit ich weiß, gibt es viele Dinge, die simulieren und nicht synthetisieren.
Das stimmt, aber es ist ziemlich einfach, sich auf die synthetisierbare Teilmenge von VHDL zu beschränken - die ziemlich gleich ist, egal mit welchen Tools des Anbieters Sie letztendlich synthetisieren.
Schau mal hier
Ty für die Antwort @EugeneSh. , sehr guter Link. Aber das ist nur für die Simulation richtig? Nicht Synthese.
Es gibt ein paar Synthesizer unter den "Tools". Ich denke, sie sind Open Source, also können Sie sie separat nachschlagen. Ich bin mir jedoch nicht sicher, ob sie produktionsreif sind.
Keiner der Kommentare hat bisher darauf hingewiesen, dass die meisten FPGA-Anbieter kostenlose Versionen ihrer Tools anbieten, und diese keine Cripple-Ware, sondern sehr leistungsfähige Tools sind - nur nicht Open Source. Sicherlich zutreffend für Xilinx, Altera, Microsemi. Das größte Problem ist, dass es sich in der Regel um Multi-GB-Downloads handelt. Wenn Sie Vivado haben, zählt das. Synthesierbare Teilmengen unterscheiden sich in Details, sind aber alle weitgehend ähnlich.
Ergänzen Sie das, was Brian Drummond gesagt hat: FPGA sind nicht nur ein Meer von Gattern mit feiner Granularität, die Verwendung der herstellerspezifischen Synthesizer bietet Ihnen die besten Chancen auf eine optimierte Implementierung. Zu wissen, wie man synthetisierbaren Code schreibt, gehört ohnehin zum "Programm".

Antworten (1)

Ich persönlich verwende einen Open-Source-Simulator (iverilog) in Kombination mit vom Hersteller bereitgestellten Toolchains (xilinx ise, altera quartus). Der von mir geschriebene Verilog-Code vermeidet FPGA-spezifische Primitive, sodass er mit Open-Source-Tools simuliert und dann auf das FPGA portiert werden kann, auf dem ich ihn ausführen muss. Die Werkzeuge des Herstellers sind so ziemlich das Beste, was Sie für die meisten Teile auf dem Markt bekommen können. Jetzt verwende ich FPGAs, die groß genug sind, um Lizenzen für die Software zu benötigen, aber wenn Sie sich an die kleineren FPGAs halten und keine der vom Anbieter bereitgestellten IP-Kerne benötigen, funktionieren die kostenlosen Versionen der Tools einwandfrei (im Allgemeinen Die einzigen Einschränkungen der kostenlosen Version sind die unterstützten Geräte, die IP-Bibliothek, spezielle Funktionen wie Floorplanning und teilweise Neukonfiguration sowie On-Chip-Debugging-Funktionen).

Es gibt einige Open-Source-Tools für die Synthese/Platzierung und Route, aber die Geräteunterstützung für diese ist äußerst begrenzt.

Ty für die Antwort. Was den Open-Source-Simulator betrifft, so habe iverilogich ihn bereits beim Erlernen von Verilog verwendet. Aber sagen Sie mir etwas, läuft es auch VHDL?
Nein, aber versuche es mit ghdl.