Winkel und Messung – Hilfe beim PCB-Design

Ich habe letzten Monat mit dem PCB-Design begonnen und bin sehr neu darin. Ich habe winzige Teile mit Eagle Cad und Altium Cad gemacht, aber ich lerne immer noch jeden Tag etwas dazu. Jetzt habe ich ein herausforderndes Brett zu tun. Das Brett ist zwar nicht komplex, aber die Maße und Winkel müssen genau sein und nicht sicher, wie man sich ihm nähert.

Bitte sehen Sie sich das Bild an, das spiralförmige Pads hat. Alle sind kreisförmig und müssen die korrekten Maße haben, wie darauf angegeben. Lassen Sie mich auch wissen, welches Tool für diese Art von benutzerdefinierten Dingen am besten geeignet ist.

Ich hoffe, jemand von euch hat hier Erfahrung und kann mir mit guten Ratschlägen helfen

Altium ist leistungsfähiger als Eagle CAD. Damit können Sie jedes Pad in einen beliebigen Winkel drehen. Sind Ihre Pads alle rechteckig oder sind sie gebogen? Gebogen wäre schwieriger. Möchten Sie, dass dies alles eine Komponente ist? Pads gehören immer zu einer Komponente. Was machst du?
@DavidG Sie sehen das erste Bild von rechts. Das muss ich tun. Ich mache eine kleine Leiterplatte mit Pads, die wie im Bild gezeigt gedruckt sind. Ich muss Pads mit 9 kreisförmigen Ringen haben und dann diese Bits wie in anderen 2 Bildern gezeigt machen, indem ich sie schneide. Die Tiefe und die Winkel zum Schneiden der Ringe werden ebenfalls oben angezeigt.
@DavidG - Eagle ist SEHR mächtig, wenn Sie seine Skriptsprache verwenden. Wenn Sie Code in einer Sprache schreiben, die eine Datei in einer Skriptsprache ausgibt, wie Olin spricht, haben Sie wirkliche Macht.
Das Tool „PCB“ aus dem Geda-Projekt erlaubt eine beliebige Rotation von Bauteilen und deren Pads. Es ist nicht besonders einfach zu verwenden, aber es erfordert kein Skripting, um dorthin zu gelangen. en.wikipedia.org/wiki/PCB_%28software%29
Sehe nur ich keine Bilder?
@derstrom8 Es sieht so aus, als ob das OP sie aus irgendeinem Grund gerade entfernt hat ...
@derstrom8 Entschuldigung, habe es beim Bearbeiten nicht bemerkt
@ScottSeidman Perl-Interpreter ist das leistungsstärkste PCB-Design-Tool. Sie können alles tun, solange Sie Ihren eigenen Code schreiben!!
Mit Altium können Sie ein polares Gitter erstellen, mit dem Sie Komponenten einfach in Kreisen platzieren können
Wenn Sie mit dem PCB-Design beginnen, lassen Sie mich in Kicad aufschlagen . Abgesehen davon, dass es kostenlos ist (wie in Freiheit und Bier), ist das PCB-Format Text und vollständig dokumentiert, was es zum Kinderspiel macht, Layouts programmgesteuert in Ihrer bevorzugten Sprache zu erstellen.
@PauloSoares Danke für deine Antwort. AFAIK KiCAD ist gut, aber Eagle und Altium sind besser. Obwohl KiCAD in der Lage ist, solche Layouts zu erstellen, sind die anderen auch besser darin, Dinge schneller zu implementieren.
Altium ist besser, Eagle nicht so sehr im Vergleich zu Kicad. Am Ende ist das wie bei Texteditoren, verwenden Sie den, mit dem Sie vertraut sind, die Unterschiede sind nicht so groß.

Antworten (3)

Ich benutze Eagle. Wenn ich solche Dinge tun muss, schreibe ich ein Programm, das ein Skript generiert. Das Programm führt die Sinus-, Cosinus- und andere Mathematik aus, um die Koordinaten zu bestimmen, und schreibt diese dann in das Skript.

Dies kommt oft genug vor, dass ich ein generisches Host-Programmmodul erstellt habe, das Unterroutinen zum Schreiben von Koordinaten im Eagle-Format, zum Schreiben ganzer WIRE-Befehle, eigener 2D-Transformationen usw. enthält. So etwas ist wirklich nicht schwer zu tun.

Denken Sie daran, dass die Effizienz des Programms kein Problem ist. Egal was passiert, es wird augenblicklich in menschlicher Zeit abgeschlossen sein. Schreiben Sie es aus Gründen der Klarheit und der Möglichkeit, Änderungen daran vorzunehmen.

Oft ist das, was Sie zunächst denken, etwas anders als das, was Sie tatsächlich wollen, nachdem Sie sich die Ergebnisse angesehen, DRC-Prüfungen durchgeführt haben und dergleichen. Wenn Sie ein Programm haben, das ein Skript schreibt, können Sie das ganze Durcheinander auf dem Board einfach löschen, das Programm erneut ausführen und das Skript erneut ausführen, um etwas anderes auszuprobieren. Es wird auch für die nächste Revision des Boards nützlich sein. Wenn Sie alles manuell gemacht haben und die Dinge bei der nächsten Umdrehung etwas anders sein müssen, haben Sie viel Arbeit, um es noch einmal zu machen.

Vielen Dank für ausführliche Informationen. Aber um ehrlich zu sein, bin ich dem, was Sie gesagt haben, noch lange nicht nah. Ich muss Dinge vorher lernen, um Drehbücher zu verstehen und zu schreiben. Ich lerne jedoch immer noch gerne, wenn Sie mir eine gute Anleitung geben können.
Kann das nicht genug loben! So nutzen Sie die wahre Kraft von Eagle.
@ScottSeidman Danke. Ich habe gerade eine kurze Einführung über das Eagle-Scripting gelesen und kann mir jetzt vorstellen, wie leistungsfähig es ist und wie es schwierige Jobs einfach macht. Kann mir jemand beim Scripting für dieses kleine Board helfen? Ich habe zuvor einige ULP-Skripte verwendet, aber dafür muss ich viel lernen, um es selbst zu machen. Aber jetzt habe ich keine Zeit.
@i0s - Ich bezweifle, dass Sie jemanden finden werden, der das tut, worum Sie bitten. Sie sind auf eine technische Herausforderung gestoßen, die einen zeitlichen Aufwand von Ihnen erfordert, der Sie von Null auf etwa 5-12 Lernstunden zurückwirft - vorausgesetzt, Sie wissen genug über Programmierung, um Ihre Skriptdatei zu erstellen. Mein Rat wäre, ein Pad auszuwählen, es im Raum zu parametrisieren und Gleichungen für die Scheitelpunkte auf Papier zu erstellen. Danach programmieren Sie es und durchlaufen alle Ihre Pads.
@ScottSeidman Danke Scott. Das ist, was ich denke. Ich habe schon angefangen zu lesen und werde sehen, wie lange es dauern wird. Hoffentlich bekomme ich hier Hilfe zum Scripting, wenn ich nicht weiterkomme.
Danke Olin! Ich dachte, ich kenne Eagle ziemlich gut, aber irgendwie fehlte mir die ganze Idee, es zu schreiben.

EAGLE bietet zwei Möglichkeiten, Code zu schreiben:

Skriptdateien enthalten einfache Befehle, die Sie auch in das Textfeld direkt über dem Zeichenbereich eingeben können.

ULPs (UserLanguagePrograms) ermöglichen raffinierte Dinge wie das Durchschleifen aller Pins eines ICs und das Ändern des Namens des daran angeschlossenen Netzes.

Ich bin mir ziemlich sicher, dass Ihre Aufgabe mit ULPs erledigt werden kann, sie sind jedoch etwas komplexer.

Ich schreibe gerne Code, der ein Skript schreibt. Folgendes würde ich in Ihrem Fall in PYTHON tun:

from math import *

f=open("MyFirstScript.scr", "w")

f.write("LAYER 1;\n")          # want to draw in layer 1

R1=1.0

angle=0.0

while( (angle +9) <=360):
    x1=R1*sin(radians(angle))
    y1=R1*cos(radians(angle))

    x2=R1*sin(radians(angle+180))
    y2=R1*cos(radians(angle+180))

    x3=R1*sin(radians(angle+9-1.63))
    y3=R1*cos(radians(angle+9-1.63))

    name="sig_%.3f"%(angle)  # signal name like sig_9.163

    f.write( "ARC  '%s' CW FLAT 0.2 (%f %f) (%f %f) (%f %f) ;\n"%(name, x1, y1, x2, y2, x3, y3) )

    angle=angle +9

f.close()

Es erstellt ein Skript mit dem Dateinamen MyFirstScript.scr, das dann im EAGLE-Layout-Editor geöffnet werden kann:

LAYER 1;

ARC  'sig_0.000' CW FLAT 0.2 (0.000000 1.000000) (0.000000 -1.000000) (0.128276 0.991738) ;
ARC  'sig_9.000' CW FLAT 0.2 (0.156434 0.987688) (-0.156434 -0.987688) (0.281839 0.959462) ;
...

Es wechselt zu Layer 1 und erzeugt dann viele Bögen. Ein Bogen besteht aus drei Koordinatenpaaren: Startpunkt des Bogens, ein Punkt 180° voraus und der Endpunkt. Die Linienbreite beträgt 0,2, die Enden der gezeichneten Linien sind flach (statt abgerundet) und der Bogen wird im Uhrzeigersinn gezeichnet.

Führen Sie es auf einem Brett aus, und es gibt Folgendes:

Geben Sie hier die Bildbeschreibung ein

Ich habe Bögen verwendet, aber Sie können sich auch Polygone ansehen.

Vielen Dank Sweber. Das ist genau das, wonach ich suche. Ich versuche es jetzt und werde Sie wissen lassen, wenn ich irgendwo feststecke.
tut mir Leid dass ich dich nochmal störe. Arcs sind für mich in Ordnung. Bitte schauen Sie sich das Bild an . Das versuche ich zu erschaffen. Aber etwas verwirrt über das, was Sie in einem früheren Beitrag erklärt haben. Soll ich Python-Code oder -Skript verwenden? Auch wenn es Ihnen nichts ausmacht, mir zu helfen, können Sie bitte eine ULP für das Bild schreiben.
Auch ausgehend von 0 müssen die gesamten Segmente 40 sein.
Ich selbst kenne mich mit ULP nicht so gut aus, also kann ich da leider nicht helfen. Ich habe ein PYTHON-Skript (erste Auflistung) verwendet, um das EAGLE-Skript zu generieren. Dieses Skript erstellt genau 40 Segmente. Allerdings hat PYTHON nichts mit EAGLE zu tun, es wird hier nur verwendet, um eine (spezielle) Textdatei zu schreiben.
Sweber, es ist ok, kein Problem. Irgendwie werde ich mein Bestes versuchen und sehen. Nochmals vielen Dank für Ihre prompte Antwort, die ich sehr zu schätzen weiß.

Sie können Skripte in Altium verwenden, um die gewünschten Formen zu generieren, oder Sie können sie in einem anderen Format wie .DXF generieren und importieren. Ich habe den letzteren Weg für einige spezielle Spiralinduktoren gewählt, Code geschrieben, um eine .DXF-Datei als Zwischenformat auszuspucken (wo sie auch in mechanischen CAD-Paketen und für andere Analysen verwendet werden könnte), und sie dann in das PCB-Programm importiert.

Hier ist ein Altium-Skript von Darren Moore, das Spiralen direkt generiert, aber Sie müssen wahrscheinlich Ihr eigenes schreiben, um Ihre genauen Anforderungen zu erfüllen.

Geben Sie hier die Bildbeschreibung ein

Danke für deine Antwort. Wenn ich eine .DXF-Datei in AutoCAD erstelle und in Altium importiere, wird die Datei importiert, ohne die Messungen zu stören.
Ich denke, ich werde zuerst versuchen, die Leiterplatte in AutoCAD zu erstellen und zu sehen, welche Optionen ich habe, um eine Herstellerdatei zu erstellen.
Ja, wenn Sie AutoCAD zur Verfügung haben, ist das sicherlich eine Option. Ähm ... es kann einige Feinheiten in Bezug auf DXF geben ... Ich denke, wir haben Polylinien verwendet.
Ach ich verstehe. Ich habe Polylinien noch nie ausprobiert, werde es jetzt versuchen. Danke noch einmal.
Hallo Spehro, ich konnte das Design auf AutoCAD bekommen, wie auf dem Bild gezeigt . Nach dem Importieren in Altium habe ich diese Oline in meiner Board-Datei erhalten. Könnten Sie mir bitte zeigen, wie ich diesen Umriss auf die tatsächliche Leiterplatte wie im Bild gezeigt mache, damit ich die Gerber-Datei herausbekomme.