Wie verkabele ich ein System für Nios 2 in Qsys?

Ich habe es geschafft, die Anzahl der Fehler zu reduzieren, aber ich habe immer noch einige:

Error: System.nios2: Reset slave sram_0.avalon_slave_0 not connected to instruction_master.
Error: System.nios2: Exception slave sram_0.avalon_slave_0 not connected to instruction_master.
Warning: System.leds: leds.avalon_slave_0 must be connected to an Avalon-MM master
Warning: System.sram: sram.conduit_end must be exported, or connected to a matching conduit.
Warning: System.jtag_uart_0: Interrupt sender jtag_uart_0.irq is not connected to an interrupt receiver

Können Sie mir bitte sagen, wie ich mit den Fehlern umgehen soll? Hintergrund sind diese Fragen:

https://electronics.stackexchange.com/questions/80516/how-to-resolve-these-errors-in-qsys

Wie kann ich Sram in Quartus Uhr/Reset zuweisen?

Wie aktualisiere ich ein Quartus II-Projekt von SOPC auf QSys?

Kann mein VHDL in Qsys nicht synthetisieren

Geben Sie hier die Bildbeschreibung ein

Es ist unklar, warum ich diese Meldung bekomme:

Error: System.nios2: Reset slave sram_0.avalon_slave_0 not connected to instruction_master.

Da scheint der Zusammenhang tatsächlich da zu sein.

Ich glaube nicht, dass Sie bei der Menge an Informationen hier eine hilfreiche Antwort erwarten können. Um eine Fehlermeldung zu debuggen, benötigt man normalerweise Zugriff auf die Projektdateien. Die beste Antwort, die Sie von dieser Frage erwarten können, wäre nur eine Erklärung, was die Fehlermeldung bedeutet. Aber das würde dir nicht wirklich helfen, da du bereits weißt, was es bedeutet.
@trav1s Ich habe jetzt die Dateien unter github github.com/montao/nios2 versioniert und denke, dass wir in der Lage sein sollten, die Probleme zu lösen, aber je mehr ich versuche, mit den Drähten zu experimentieren, desto mehr Fehler bekomme ich.

Antworten (1)

Ich habe das Design so eingerichtet, dass es in QSYS funktioniert. Ihre Fehlermeldungen:

Error: System.nios2: Reset slave sram_0.avalon_slave_0 not connected to instruction_master.
Error: System.nios2: Exception slave sram_0.avalon_slave_0 not connected to instruction_master.

... wurden durch die Registerkarte "Eigenschaften" des nios2Prozessors verursacht - Sie haben offensichtlich das SRAM als Quelle der Rücksetz- / Ausnahmevektoren ausgewählt und die Komponente später von sram_0in umbenannt sram. Ich habe den Sram mit dem neuen Namen erneut ausgewählt und sie gelöscht. Die verbleibenden Warnungen waren triviale Verdrahtungsprobleme und es wurde vergessen, die externe SRAM-Verbindung zu exportieren.

Sie hatten auch den SRAM-Quellcode sowohl mit als auch ohne den Clock/Reset-Fix, über den wir gesprochen haben, ich habe den schlechten gelöscht und die QSYS-Komponente + tcl umbenannt, um übereinstimmende Dateinamen zu haben.

Stellen Sie schließlich den Namen der obersten Ebene so ein, dass er mit der von Qsys generierten Ausgabe übereinstimmt, und ersetzen Sie die Quelldateien in Quartus durch die generierte .qipDatei. Analyse und Monteur abgeschlossen. Sie haben keine Pin-Zuordnung in Ihrer .qsfDatei, daher funktioniert der Bitstream noch nicht auf echter Hardware.

Sie können sehen, wie es funktioniert, während ich Commits auf dem Weg vorangetrieben habe .

Es gibt ein asynchrones Lesen aus dem Speicher im LED-Modul, das verhindert, dass auf einen Block-RAM geschlossen wird, aber das Gerät ist groß genug, dass Sie davonkommen können, ohne das sofort zu beheben (würde die Ressourcennutzung verringern, wahrscheinlich den Monteuraufwand verringern, wenn darauf geschlossen werden kann).